The Cortex-A78 Micro-architecture: PPA Focused

The new Cortex-A78 had been on Arm’s roadmaps for a few years now, and we have been expecting the design to represent the smallest generational microarchitectural jump in Arm’s new Austin family. As the third iteration of Arm's Austin core designs, A78 follows the sizable 25-30% IPC improvements that Arm delivered on the Cortex-A76 and A77, which is to say that Arm has already picked a lot of the low-hanging fruit in refining their Austin core.

As the new A78 now finds itself part of a sibling pairing along side the higher performance X1 CPU, we naturally see the biggest focus of this particular microarchitecture being on improving the PPA of the design. Arm’s goals were reasonable performance improvements, balanced with reduced power usage and maintaining or reducing the area of the core.

It’s still an Arm v8.2 CPU, sharing ISA compatibility with the Cortex-A55 CPU for which it is meant to be paired with in a DynamIQ cluster. We see similar scaling possibilities here, with up to 4 cores per DSU, with an L3 cache scaling up to 4MB in Arm’s projected average target designs.

Microarchitectural improvements of the core are found throughout the design. On the front-end, the biggest change has been on the part of the branch predictor, which now is able to process up to two taken branches per cycle. Last year, the Cortex-A77 had introduced as secondary branch execution unit in the back-end, however the actual branch unit on the front-end still only resolved a single branch per cycle.

The A78 is now able to concurrently resolve two predictions per cycle, vastly increasing the throughput on this part of the core and better able to recover from branch mispredictions and resulting pipeline bubbles further downstream in the core. Arm claims their microarchitecture is very branch prediction driven so the improvements here add a lot to the generational improvements of the core. Naturally, the branch predictors themselves have also been improved in terms of their accuracy, which is an ongoing effort with every new generation.

Arm focused on a slew of different aspects of the front-end to improve power efficiency. On the part of the L1I cache, we're now seeing the company offer a 32KB implementation option for vendors, allowing customers to reduce area of the core for a small hit on performance, but with gains in efficiency. Other changes were done to some structures of the branch predictors, where the company downsized some of the low return-on-investment blocks which had a larger cost on area and power, but didn’t have an as large impact on performance.

The Mop cache on the Cortex-A78 remained the same as on the A77, housing up to 1500 already decoded macro-ops. The bandwidth from the front-end to the mid-core is the same as on the A77, with an up to 4-wide instruction decoder and fetching up to 6 instructions from the macro-op cache to the rename stage, bypassing the decoder.

In the mid-core and execution pipelines, most of the work was done in regards to improving the area and power efficiency of the design. We’re now seeing more cases of instruction fusions taking place, which helps not only performance of the core, but also power efficiency as it now uses up less resources for the same amount of work, using less energy.

The issue queues have also seen quite larger changes in their designs. Arm explains that in any OOO-core these are quite power-hungry features, and the designers have made some good power efficiency improvements in these structures, although not detailing any specifics of the changes.

Register renaming structures and register files have also been optimized for efficiency, sometimes seeing a reduction of their sizes. The register files in particular have seen a redesign in the density of the entries they’re able to house, packing in more data in the same amount of space, enabling the designers to reduce the structures’ overall size without reducing their capabilities or performance.

On the re-order-buffer side, although the capacity remains the same at 160 entries, the new A78 improves power efficiency and the density of instructions that can be packed into the buffer, increasing the instructions per unit area of the structure.

Arm has also fine-tuned the out-of-order window size of the A78, actually reducing it in comparison to the A77. The explanation here is that larger window sizes generally do not deliver a good return on investment when scaling up in size, and the goal of the A78 is to maximize efficiency. It’s to be noted that the OOO-window here not solely refers to the ROB which has remained the same size, Arm here employs different buffers, queues, and structures which enable OOO operation, and it’s likely in these blocks where we’re seeing a reduction in capacity.

On the diagram, here we see Arm slightly changing its descriptions on the dispatch stage, disclosing a dispatch bandwidth of 6 macro-ops (Mops) per cycle, whereas last year the company had described the A77 as dispatching 10 µops. The apples-to-apples comparison here is that the new A78 increases the dispatch bandwidth to 12 µops per cycle on the dispatch end, allowing for a wider execution core which houses some new capabilities.

On the integer execution side, the only big addition has been the upgrade of one of the ALUs to a more complex pipeline which now also handles multiplications, essentially doubling the integer MUL bandwidth of the core.

The rest of the execution units have seen very little to no changes this generation, and are pretty much in line with what we’ve already seen in the Cortex-A77. It’s only next year where we expect to see a bigger change in the execution units of Arm’s cores.

On the back-end of the core and the memory subsystem, we actually find some larger changes for performance improvements. The first big change is the addition of a new load AGU which complements the two-existing load/store AGUs. This doesn’t change the store operations executed per cycle, but gives the core a 50% increase in load bandwidth.

The interface bandwidth from the LD/ST queues to the L1D cache has been doubled from 16 bytes per cycle to 32 bytes per cycle, and the core’s interfaces to the L2 has also been doubled up in terms of both its read and write bandwidth.

Arm seemingly already has some of the most advanced prefetchers in the industry, and here they claim the A78 further improves the designs both in terms of their memory area coverage, accuracy and timeliness. Timeliness here refers to their quick latching on onto emerging patterns and bringing in the data into the lower caches as fast as possible. You also don’t watch the prefetchers to kick in too early or too late, such as needlessly prefetching data that’s not going to be used for some time.

Much like the L1I cache, the A78 now also offers an 32KB L1D option that gives vendors the choice to configure a smaller core setup. The L2 TLB has also been reduced from 1280 to 1024 pages – this essentially improves the power efficiency of the structure whilst still retaining enough entries to allow for complete coverage of a 4MB L3 cache, still minimizing access latency in that regard.

Overall, the Cortex-A78’s microarchitectural disclosures might sound surprising if the core were to be presented in a vacuum, as we’re seeing quite a lot of mentions of reduced structure sizes and overall compromises being made in order to maximize energy efficiency. Naturally this makes sense given that the Cortex-X1 focuses on performance…

Two New "Big" Micro-architectures: A Business Model Change The Cortex-X1 Micro-architecture: Bigger, Fatter, More Performance
Comments Locked

192 Comments

View All Comments

  • tkSteveFOX - Wednesday, May 27, 2020 - link

    Would be great if we get a 1 x X1 + 3xA78 and 4xA55 with 4MB L3 shared between the big cores.
    Or just 2 x X1 and 6xA55 cores with 8MB L3 cache for the X1 cores (would be interesting to see the efficiency here compared to the above).
    5nm gives a lot of headroom and even using 1x3GHz A77 and 3x2.7 GHz A77 is possible under this node.
  • ReverendDC - Wednesday, May 27, 2020 - link

    I'm excited to see what comes of this for Windows on ARM. I know that's are some that will find it pointless, but there are millions of office workers and IT pros that support them that would find an all-day, cheaply replaceable, Office chewing, LTE/5G always connected device to be quite useful...

    For years Intel has tried to make an all-day system, and finally straight gave up! Yes, Windows is "heavier" on system calls, but then again, Linux can be as well. Seems to have shoehorned in nicely after 4+ years of trial and error (and Law and Order, but...) with Android. While I wouldn't buy a Surface Pro X, it does do 80% of what to expect from a full day Win10 x86 system. That's progress. Let's see if this makes more!
  • serendip - Wednesday, May 27, 2020 - link

    The X1 belongs in a flagship ARM Windows device like the next Surface Pro X. The current model has a Qualcomm SQ1 and it already performs at 8th gen Core i5 levels, with half the power consumption when running ARM code. An X1-based SoC could offer top tier i7 performance at half the power and hopefully a lower price. Competition is good to keep Intel honest.
  • ballsystemlord - Thursday, May 28, 2020 - link

    @Andrei You have a technical error:
    "...all while reducing power by 4% and reducing area by 4%"
    In the picture area reduction is 5, not 4 percent.
    "...all while reducing power by 4% and reducing area by 5%"
  • anonomouse - Saturday, May 30, 2020 - link

    So with two tiers of big cores now, and presumably a new small core and supposedly a new middle-ish core to span the ever-increasing gap between big and little... does this mean that in a couple of years Android phones will have to deal with scheduling across 4 different types of cores? bigger.big.middle.little?
  • fozia - Saturday, June 6, 2020 - link

    I agree. But it's not an achievement to be slower than a 1-year old chip This creates the problem that you cannot hyper-focus on any one area of the PPA triangle without making compromises in the other two.
  • vladpetric - Friday, June 26, 2020 - link

    Peak performance is not performance.

    "Peak" is really just a value you're guaranteed to never exceed ...
  • mi1400 - Tuesday, October 6, 2020 - link

    https://images.anandtech.com/doci/15813/A78-X1-cro...
    Why the yellow and orrange starting points/dots have drift in them. The Spec Performance axiz doesnt mandate them to let one start ahead of other. And if this mandate is applied/removed conjoining both stating points the difference of performance will be so similar that both lines will seem overlapping... infact curves between 2nd and 3rd dots of A77/A78 will make A78 even slower. Curves between 3rd and 4th dots of A77/A78 will give A78 some benefit but again curve between 4th and and 5th dots will make A77 = A78.
    What do u say!?! Thanks!
  • ChrisGX - Monday, October 12, 2020 - link

    A lot of people are saying that with Cortex-X1 ARM is bringing the fight to Apple’s powerhouse CPUs, i.e. the potent custom ARM processors that Apple develops for consumer computing products.

    Actually, that isn't exactly what is happening. I had a close look at the performance data (using ARM's own projections) and it looks like it will take until the Makalu generation before a successor to the X1 (very nearly) catches up to the A14 on outright (integer) performance. For some time, Apple has had a 2.5 year lead in the performance stakes over ARM and no change is on the cards in that regard. Cortex X1, contrary to ARM's public remarks, continues the existing strategy of winning on energy efficiency not seeking performance gains at any cost. As a matter of fact, the energy efficiency of the X1 isn't too bad as a starting point. And, when modestly clocked A78 cores are also in the mix energy efficiency improves greatly. With the next generation of SoCs based on A78 and X1 licensed ARM cores manufacturers will have the opportunity to either sharply reduce power consumption or add new and advanced processing capabilities without raising power budgets. And, that can be achieved while offering a good (single threaded) performance boost of 33% (or more) over existing A77 based processors.

    When its comes to outright execution speed it seems that ARM is pushing harder on floating point performance than other areas. In that area ARM could conceivably reach performance parity with Apple's SoCs sooner rather than later.
  • Salman Ahmed - Tuesday, April 6, 2021 - link

    Can Cortex A75 and Cortex A76 be pared together?

Log in

Don't have an account? Sign up now