The Cortex-A78 Micro-architecture: PPA Focused

The new Cortex-A78 had been on Arm’s roadmaps for a few years now, and we have been expecting the design to represent the smallest generational microarchitectural jump in Arm’s new Austin family. As the third iteration of Arm's Austin core designs, A78 follows the sizable 25-30% IPC improvements that Arm delivered on the Cortex-A76 and A77, which is to say that Arm has already picked a lot of the low-hanging fruit in refining their Austin core.

As the new A78 now finds itself part of a sibling pairing along side the higher performance X1 CPU, we naturally see the biggest focus of this particular microarchitecture being on improving the PPA of the design. Arm’s goals were reasonable performance improvements, balanced with reduced power usage and maintaining or reducing the area of the core.

It’s still an Arm v8.2 CPU, sharing ISA compatibility with the Cortex-A55 CPU for which it is meant to be paired with in a DynamIQ cluster. We see similar scaling possibilities here, with up to 4 cores per DSU, with an L3 cache scaling up to 4MB in Arm’s projected average target designs.

Microarchitectural improvements of the core are found throughout the design. On the front-end, the biggest change has been on the part of the branch predictor, which now is able to process up to two taken branches per cycle. Last year, the Cortex-A77 had introduced as secondary branch execution unit in the back-end, however the actual branch unit on the front-end still only resolved a single branch per cycle.

The A78 is now able to concurrently resolve two predictions per cycle, vastly increasing the throughput on this part of the core and better able to recover from branch mispredictions and resulting pipeline bubbles further downstream in the core. Arm claims their microarchitecture is very branch prediction driven so the improvements here add a lot to the generational improvements of the core. Naturally, the branch predictors themselves have also been improved in terms of their accuracy, which is an ongoing effort with every new generation.

Arm focused on a slew of different aspects of the front-end to improve power efficiency. On the part of the L1I cache, we're now seeing the company offer a 32KB implementation option for vendors, allowing customers to reduce area of the core for a small hit on performance, but with gains in efficiency. Other changes were done to some structures of the branch predictors, where the company downsized some of the low return-on-investment blocks which had a larger cost on area and power, but didn’t have an as large impact on performance.

The Mop cache on the Cortex-A78 remained the same as on the A77, housing up to 1500 already decoded macro-ops. The bandwidth from the front-end to the mid-core is the same as on the A77, with an up to 4-wide instruction decoder and fetching up to 6 instructions from the macro-op cache to the rename stage, bypassing the decoder.

In the mid-core and execution pipelines, most of the work was done in regards to improving the area and power efficiency of the design. We’re now seeing more cases of instruction fusions taking place, which helps not only performance of the core, but also power efficiency as it now uses up less resources for the same amount of work, using less energy.

The issue queues have also seen quite larger changes in their designs. Arm explains that in any OOO-core these are quite power-hungry features, and the designers have made some good power efficiency improvements in these structures, although not detailing any specifics of the changes.

Register renaming structures and register files have also been optimized for efficiency, sometimes seeing a reduction of their sizes. The register files in particular have seen a redesign in the density of the entries they’re able to house, packing in more data in the same amount of space, enabling the designers to reduce the structures’ overall size without reducing their capabilities or performance.

On the re-order-buffer side, although the capacity remains the same at 160 entries, the new A78 improves power efficiency and the density of instructions that can be packed into the buffer, increasing the instructions per unit area of the structure.

Arm has also fine-tuned the out-of-order window size of the A78, actually reducing it in comparison to the A77. The explanation here is that larger window sizes generally do not deliver a good return on investment when scaling up in size, and the goal of the A78 is to maximize efficiency. It’s to be noted that the OOO-window here not solely refers to the ROB which has remained the same size, Arm here employs different buffers, queues, and structures which enable OOO operation, and it’s likely in these blocks where we’re seeing a reduction in capacity.

On the diagram, here we see Arm slightly changing its descriptions on the dispatch stage, disclosing a dispatch bandwidth of 6 macro-ops (Mops) per cycle, whereas last year the company had described the A77 as dispatching 10 µops. The apples-to-apples comparison here is that the new A78 increases the dispatch bandwidth to 12 µops per cycle on the dispatch end, allowing for a wider execution core which houses some new capabilities.

On the integer execution side, the only big addition has been the upgrade of one of the ALUs to a more complex pipeline which now also handles multiplications, essentially doubling the integer MUL bandwidth of the core.

The rest of the execution units have seen very little to no changes this generation, and are pretty much in line with what we’ve already seen in the Cortex-A77. It’s only next year where we expect to see a bigger change in the execution units of Arm’s cores.

On the back-end of the core and the memory subsystem, we actually find some larger changes for performance improvements. The first big change is the addition of a new load AGU which complements the two-existing load/store AGUs. This doesn’t change the store operations executed per cycle, but gives the core a 50% increase in load bandwidth.

The interface bandwidth from the LD/ST queues to the L1D cache has been doubled from 16 bytes per cycle to 32 bytes per cycle, and the core’s interfaces to the L2 has also been doubled up in terms of both its read and write bandwidth.

Arm seemingly already has some of the most advanced prefetchers in the industry, and here they claim the A78 further improves the designs both in terms of their memory area coverage, accuracy and timeliness. Timeliness here refers to their quick latching on onto emerging patterns and bringing in the data into the lower caches as fast as possible. You also don’t watch the prefetchers to kick in too early or too late, such as needlessly prefetching data that’s not going to be used for some time.

Much like the L1I cache, the A78 now also offers an 32KB L1D option that gives vendors the choice to configure a smaller core setup. The L2 TLB has also been reduced from 1280 to 1024 pages – this essentially improves the power efficiency of the structure whilst still retaining enough entries to allow for complete coverage of a 4MB L3 cache, still minimizing access latency in that regard.

Overall, the Cortex-A78’s microarchitectural disclosures might sound surprising if the core were to be presented in a vacuum, as we’re seeing quite a lot of mentions of reduced structure sizes and overall compromises being made in order to maximize energy efficiency. Naturally this makes sense given that the Cortex-X1 focuses on performance…

Two New "Big" Micro-architectures: A Business Model Change The Cortex-X1 Micro-architecture: Bigger, Fatter, More Performance
Comments Locked

192 Comments

View All Comments

  • Andrei Frumusanu - Wednesday, June 3, 2020 - link

    > The choice to switch to LLVM was stupid at the time, but even more so today since GCC has since moved further ahead of LLVM...

    GCC's problem is its license. Apple nor Google would be able to integrate it into the IDE like Xcode/Android Studio. In the grand scheme of things, going LLVM is a much better choice, even if it's slower than GCC.
  • ksec - Tuesday, May 26, 2020 - link

    The 40-45% figure assumes X-1 could run at 3Ghz within its TDP budget.

    And even with that in mind the figures Anandtech put up shows it is still behind A13.

    Not bad for the rest of the ARM ecosystem. But still not quite there yet.
  • MarcGP - Tuesday, May 26, 2020 - link

    Behind the A13 ?, you missed the estimation chart where it shows the X1 reaching the A13 performance (a bit lower in integer performance and a bit higher in floating poing performance) at a much lower power consumption.
  • ksec - Wednesday, May 27, 2020 - link

    Behind in IPC. The chart put the X1 with an 5nm node with 15% clock speed increase against a 7nm Node A13 with non sustainable 2.63 Ghz Clock.

    Also worth noting this is 7nm+ not 7nm EUV from TSMC. So if you put the node aside those number would likely still put it under A13.
  • dotjaz - Tuesday, May 26, 2020 - link

    You understand INCORRECTLY. 30% is for the same frequency and 20% is the same power. you DID read it wrong.
  • dotjaz - Tuesday, May 26, 2020 - link

    With the same baseline, A77@2.6GHz, then A78@3GHz is +20%, X1@3GHz is +50%
  • ZolaIII - Wednesday, May 27, 2020 - link

    Nope you are wrong. First off all given constant power delta for something which goes into phone the A78 will be a rather significant improvement over A77 with same performance at half the power budget. A77 already had a lead over Apple big core's regarding the performance/W metric & and this means more than brute force approach. Yes Apple big core's are supperio but on something that has power budget of a laptop. On the other hand X1 is a direct take on those apple core's & it should be up to 2x faster than A78 in tasks which are optimised and utilities FP SIMDs basically SMP tasks. This is more relevant to server tasks and not so much for mobile space, still I would like to see more advanced SIMD blocks and their inclusion on smaller core's with SMT as SIMDs are hard to feed optimally and front end expansion there for is a must but it can be done in a more elegant manner like for instance MIPS did with VMT. ARM desperately needs power efficient basic OoO core a successor of A73 if you like with DynamiQ integration as an A55 replacement. Their is a A65AE but we didn't seen any implementation of it in any space so far.
  • Santoval - Friday, May 29, 2020 - link

    It is not even an apples for apples comparison, since A78 has +20% *sustained* performance over A77, while X1 has +30% *peak* performance. Therefore the sustained performance lead of X1 over A77 might be in the +25% ballpark. Is a mere extra 5 - 10% performance over A78 really worth a 30% larger die area and quite higher TDP? Unless Arm can increase the performance lead of X1 over A78 at least another 20% I don't see the former being an attractive (or even a sane) licence and purchasing option.
  • ChrisGX - Monday, July 6, 2020 - link

    The X1 exhibits 22% performance advantage over the A78 when process and frequency are controlled factors. So, yes, X1 performance is 1.22xA78. The performance improvement of the A78 over the A77 however includes a process node and frequency change, 20% all up. So, the performance of the X1 is: (A77 * 1.2) * 1.22 or 1.46xA77.
  • ChrisGX - Monday, July 6, 2020 - link

    Please note Andrei seems to have made assumptions something like this in his calculations with A77 SPECspeed/performance at 2.6GHz being something in the order of 32 (which seems reasonable).

Log in

Don't have an account? Sign up now